Next Article in Journal
Acknowledgement to Reviewers of Journal of Low Power Electronics and Applications in 2017
Previous Article in Journal
A Bond Graph Approach for the Modeling and Simulation of a Buck Converter
Previous Article in Special Issue
SoC-Based Edge Computing Gateway in the Context of the Internet of Multimedia Things: Experimental Platform
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Design of a Programmable Passive SoC for Biomedical Applications Using RFID ISO 15693/NFC5 Interface

1
Institute for Applied Research, University of Applied Sciences Offenburg, Offenburg 77652, Germany
2
Department of Microsystems Engineering, University of Freiburg, Freiburg 79098, Germany
3
Karlsruhe Institute of Technology (KIT), Institute of Nanotechnology, Hermann-vom-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen, Germany
*
Author to whom correspondence should be addressed.
J. Low Power Electron. Appl. 2018, 8(1), 3; https://doi.org/10.3390/jlpea8010003
Submission received: 19 December 2017 / Revised: 23 January 2018 / Accepted: 24 January 2018 / Published: 31 January 2018
(This article belongs to the Special Issue Low-Power Electronic Circuits for Monolithic Smart Wireless Sensors)

Abstract

:
Low power, low cost inductively powered passive biotelemetry system involving fully customized RFID/NFC interface base SoC has gained popularity in the last decades. However, most of the SoCs developed are application specific and lacks either on-chip computational or sensor readout capability. In this paper, we present design details of a programmable passive SoC in compliance with ISO 15693/NFC5 standard for biomedical applications. The integrated system consists of a 32-bit microcontroller, a sensor readout circuit, a 12-bit SAR type ADC, 16 kB RAM, 16 kB ROM and other digital peripherals. The design is implemented in a 0.18 μ m CMOS technology and used a die area of 1.52 mm × 3.24 mm. The simulated maximum power consumption of the analog block is 592 μ W. The number of external components required by the SoC is limited to an external memory device, sensors, antenna and some passive components. The external memory device contains the application specific firmware. Based on the application, the firmware can be modified accordingly. The SoC design is suitable for medical implants to measure physiological parameters like temperature, pressure or ECG. As an application example, the authors have proposed a bioimplant to measure arterial blood pressure for patients suffering from Peripheral Artery Disease (PAD).

1. Introduction

Inductive powered biomedical systems brought in complete new dimension in the medical field over the last century. A bioimplant to consider excitable regions in the nervous systems is presented in [1], where the experimental study was conducted on Rhesus monkeys as early as 1949. A similar discussion is also done in the paper [2]. The work [3] demonstrates a pressure sensitive radio transmitting capsule for the study of motility in the gastrointestinal environment as early as 1957.
From the 1950s and 60s onward, the RFID (radio frequency identification) technology is extensively utilized for the design of the remotely powered systems. Since it was first patented in 1973 [4], RFID technology became more and more popular over the succeeding decades. A considerable insight into the evolution track of RFID is mentioned in [5]. The typical operating frequency of RFID varies from a low frequency range 100 kHz , HF (high frequency) range of 13.56 MHz to ultra-high frequency range of 860–960 MHz and 2.45–5.8 GHz. Biotelemetry systems using RFID have persisted around for years where it is utilized for sensing and wireless identification [6,7,8].
From 2000 onward, along with the growth of RFID technology, a fresh band of communication protocols was introduced based on the existing RFID standards which is usually known as NFC or near field communication. Unlike RFID, it merely goes on one band of a frequency of 13.56 MHz as it is only functional over a maximum length of 4 centimeters. The telemetry applications have been revolutionized by the introduction of NFC, as a handheld smart device can be utilized to interact. There are five different kinds of available standards for NFC which are type1&2-ISO/IEC 14443 A, type 3-JIS X 6319-4 (Felica), type4-ISO/IEC 14443 A/B and type5-ISO/IEC 15693 (18000-3). The proposed SoC (system-on-chip) is developed based on ISO 15693 standard which corresponds to the standard type 5 or simply NFC 5.
The first SoC appeared in an electronic watch in 1974 [9]. Since then, along with the advancement of silicon technology, SoCs had become an integral lot of electronic devices used in our day to day life. Our smartphones, tablets, laptops or artificial intelligence gadgets, all of them have dedicated SoCs. Complex circuitries can be included in a more limited area which includes analog circuits and digital logic, including memory devices and integrated computational platforms. So biotelemetry is no exception as it requires modest size and lower power consumption.
Table 1 presents an overview of the state-of-the-art SoCs for bio-medical applications. The NFC and RFID enabled ICs [8,10,11] are specifically used for glucose measurement. The SoC presented in [12] is reconfigurable and provides a possibility to integrate a wide range of sensor types (temperature, glucose, pH value and protein concentration) but it does not have an NFC communication interface. A batteryless body sensor node is recorded in [13] is suitable for acquiring, processing and transmitting electrocardiogram (ECG), electromyogram (EMG) and EEG (EEG). This is the only SoC among all which includes an 8-bit microcontroller without NFC communication capability. Thus, a batteryless (passive) SoC which includes RFID/NFC interface, PMU (power management unit), sensor readout interface, integrated ADC (analog to digital converters), integrated microcontroller and is unspecific to a particular application lacks. Our study demonstrates a passive, programmable SoC which includes all these features which are hashed out in detail subsequently.
The mixed signal SoC presented in this paper is designed for low power passive sensor system applications first proposed in [17,18]. The key features included by the state-of-the-art SoC presented in this paper are:
  • Battery-less system (completely passive)
  • RFID (ISO-15693) /NFC (NFC5) communication interface
  • Power management unit
  • Sensor readout unit including 12-bit ADC
  • 32-bit microcontroller
  • RAM (Random Access Memory)
  • ROM (Read Only Memory)
The sensor readout unit is capable to acquire ECG data using electrodes, resistive sensor measurements, such as pressure and temperature. Low power design techniques and architecture are used to reduce the overall power consumption. By using the firmware the SoC can be programmed according to the requirement of the application.
The analog part of the SoC is responsible for energy management, RF communication, and sensor data readout. A 32-bit microcontroller, internal RAM, and ROM along with other peripherals are included in the digital part of the design. A much larger circuit complexity is included in a smaller area which makes it low power and cost-effective design. The external components required are memory devices, such as flash or FRAM, sensors, antenna and some passive components. An NFC capable smart device like a smartphone or a tablet can be used to communicate with a sensor tag developed with the proposed SoC.

Organization of the Paper

An application example of the SoC is presented in Section 2. Section 3 discusses in detail the ultra-low power design techniques applied to the design. The system architecture and the design details of the SoC are elaborated in Section 4. In Section 5, the complete layout of the SoC is presented, whereas in Section 6 the functional verification of the SoC is illustrated. Lastly, Section 7 manifests the overall conclusion of the work.

2. SoC Application Example and Advantage of 13.56 MHz Frequency Range in Biomedical Applications

Although the intended application for the SoC is open and can be employed in industrial applications or as a medical implant to measure physiological parameters, such as temperature, ECG or blood pressure. One of the possible applications could be to develop a bioimplant to monitor blood pressure in the femoral arterial system for the patients suffering from Peripheral Artery Disease (PAD). Most of us are aware of arterial blockages in the heart or in the brain; a similar kind of blockage can also occur in places like arteries in the legs. PAD is commonly referred to the arterial blockage of the legs, but it can affect the other arteries (except heart and brain) too. A detailed discussion about the disease is done in the works [19,20]. The work [21] presents in detail the global distribution of the disease based on high-income and low-income countries. As per [21], in 2010 there were 202 million living with the disease, where it also asserts about the occurrence of the disease depending on sex and age of the individual. The idea of the proposed passive implant is to monitor the blood pressure within the blocked artery through invasive method. The particular individual can monitor the arterial condition by using his or her smart device. Figure 1 shows a simplified diagram of the implant realized by using the proposed SoC.
A bioimplant placed inside the human body operates in an environment consisting mostly of bone, skin, fat, blood and muscle. So there is a certain amount of energy loss as the dielectric constant of these body tissues are much greater than that of air. The relationship in between relative permittivity ( ε r ), wavelength of the material ( λ m ) and that in free space ( λ f ) is given by the equation:
λ m = λ f ε r .
The value of ε r depends on the frequency, Table 2 provides an overview of the value of ε r and conductivity σ for different body tissues at the frequency range of 13.56 MHz , where λ f = 22.12 m . The values are obtained from the Federal communications commissions (FCC) document where body tissue dielectric parameters are listed for 10 to 6000 MHz [22]. As the permittivity increases, the wavelength of the material decreases. The variation in the permittivity changes the direction of incident waves from one medium to the other (Snell’s law). However, this deviation is inversely proportional to the wavelength, at higher frequencies i.e., 800 MHz and above this deviation is much larger. The frequency range of 13.56 MHz provides a good tradeoff in-between wavelength deviation, read range and data rate.

3. Ultra Low Power Design Techniques

In modern-day electronics systems, low power design techniques are used to reduce the overall power consumption, of the system, whether it is a battery powered or a battery-less passive system. In this context, numerous methods are embraced by the designers to keep the power consumption as low as possible. A considerable insight into the ultra-low power circuit design is provided in the work [23], which explains the design details at the architectural, physical and system levels. The work presented in [24] illustrates “The Low-Power Hand” which is fundamentally a tradeoff in between precision, speed, topology, technology, and task for an energy efficient low power design. The work presented in [25] yields a thorough insight of the main design tradeoffs in between the supply voltage, delay, robustness due to technology scaling and variability. Low power designs in the context of wearable and implantable devices are discussed in [26].

3.1. Digital Power Consumption

First, we take a look into the digital power consumption; it can be observed that it is a sum of standby power or the leakage power V D D I l e a k , short circuit power C s c V D D 2 and dynamic power 1 2 α C L V D D 2 f c l k consumption as given by the equation:
P d i g = V D D I l e a k + C s c V D D 2 f c l k + 1 2 α C L V D D 2 f c l k
where V D D is the power supply, I l e a k is the leakage current, C s c is the equivalent short circuit capacitance, C L is the capacitive load for the digital circuit, f c l k is the system clock frequency and α (where 0 α 1 ) indicates activity factor which is a function of circuit topology and activity of input signals. From Equation (2), it can be concluded that the power consumption of the digital circuits can be reduced if the supply voltage, clock frequency and the capacitive load are kept at bare minimum. A deeper insight into the same is provided in [23,24,26]. For the SoC, a system clock of 6.78 MHz is used, generated by the internal clock regenerator circuit. Slower clock and absence of an external clock source helps to keep the power consumption low. No wake-up unit manager is provided for the digital part as it is a battery-less system.

3.2. Analog Power Consumption

To reduce the power consumption of the analog circuits, weak inversion or sub-threshold region of operation is used [23,24]. The current equation for the device operating in sub-threshold region I D S u b t h can be given as:
I D S u b t h = I D 0 W L e V G S V t h n · v t 1 e V D S v t ,
where I D 0 is the technology dependent sub-threshold current obtained for V G S = V t h , where V G S is the gate-source voltage and V t h is the threshold voltage, v t is the thermal voltage, W/L is the aspect ratio and n is the sub-threshold factor [27]. For V G S = V t h , equation 3 can be further simplified as:
I D S u b t h I D 0 W L e V G S n · v t
If W/L and V G S are kept constant, I D S u b t h only depends exponentially on v t , resulting in a much smaller current in compare to the saturation or strong inversion region. A vivid description about sub-threshold operation is provided in [27,28,29]. For the design of the analog part, weak-inversion region of operation is used extensively which is discussed in detail for some of the circuits.
Multiple supply voltages (VDD) can be used to reduce the overall power consumption. Some of the analog circuits are driven by the supply rail VDDI = 1.2 V and digital block, as well as the rest of the external circuits required for the design, are driven by the supply rail VDDE = 1.4 V to 1.8 V. All the analog signals interfacing with the digital block are level shifted to the voltage level of VDDE.
The parts of the analog block, which are unrequired to be always active, are kept switched off and are only activated whenever required for sensor data reading. This helps to reduce the overall power consumption to a great extent. The low threshold voltage transistor is another means to cut the overall power consumption, which is employed in some of the analog circuits.

4. System Architecture and Design Details of the SoC

A simplified system overview is shown in Figure 2 for the passive tag system developed with the SoC. The reader device is an NFC capable smart device, but it can also be a standard RFID reader conforming to the ISO 15693 standard. The antenna shown is responsible for RFID/NFC communication as well as receiving energy from the reader device via inductive coupling. The energy available from the reader field is stored for a very limited period of time, by using a temporary storage device, such as a ceramic or a tantalum capacitor. The external memory device in the form of Flash or FRAM contains the application specific firmware. This makes an enormous flexibility in conditions of usability, as the SoC can be configured and the application program can be altered as per the demand. This is why the SoC is referred as GAPSIC which stands for General Application Passive Sensor Integrated Circuit.
Figure 3 exhibits the internal architecture of the SoC, which consists of analog block, digital block, and internal memory devices. The analog block can be further split into two categories RFID/NFC communication and power management unit and sensor readout unit. The digital block consists of a 32-bit integrated microcontroller and digital peripherals. The internal memory devices include 16 KB RAM and 16 KB ROM.

4.1. RFID/NFC Communication and Power Management Unit

This block is responsible for RFID/NFC communication and also for handling the entire power supply of the chip. Based on the functionalities, this block can be categorized into four different units, such as a power supply management unit, RF communication unit, field detector unit and clock regenerator unit.
In this section, only a brief overview is given, where some of the circuits are discussed in detail. This part is realized as a stand-alone chip which is tested for all of its functionalities. Figure 4 shows the system level block diagram of the RFID/NFC communication and the power management unit where all four functional blocks are shown.

4.1.1. Power Supply Management Unit

The power supply management part consists of over-voltage protection circuit, power rectifiers, bandgap reference and LDOs (low drop out regulators).

Over-Voltage Protection Circuit

The over-voltage protection circuit and the ESD (Electro Static Discharge) diodes protect the chip from over-voltage conditions. The over-voltage protection circuit consists of a bleeder circuit as discussed in detail in the works [30,31,32,33]. The maximum allowed limit for the over-voltage protection circuit is set by the technology which is 3.6 V .

4.1.2. Power Rectifier Unit

Figure 5 shows the power rectifier circuit which supplies both the LDO regulators to fulfill the entire energy requirement of the microchip. Some of the state-of-the-art power rectifiers are presented in recent times are presented in the works [34,35,36]. In this case, four gates, cross-connected NMOS passive rectifier is used in parallel. The working of a gate, cross-coupled NMOS rectifier is in detail discussed in [33], hence further explanation is avoided here. The phase inverse antenna inputs (A and B) switch on even and odd-numbered transistors in respective clock cycles. The power rectifier can support a maximum load of 8.5 m W and has a maximum power conversion efficiency of 45% for a load of 1 k Ω and an input power of 8 dBm .

Bandgap Reference

The bandgap reference circuit is used to generate a temperature and supply independent reference voltage which is further used by the LDOs. The basic working principle of the bandgap reference circuit is provided in [37,38,39,40,41]. The work presented in [42] discusses about a bandgap reference circuit for RFID applications similar to the one presented here. The bandgap circuit is powered by the rectified voltage V D R provided by the demodulation rectifier as shown in Figure 4. The average value of V R E F 1.215 V for nominal temperature of 25 °C. The minimum value of V D R required to turn on the circuit is 1.7 V . The supply voltage sensitivity is 152 µ V / V at 25 °C for V D R = 1.7 V to 2.5 V and has a start-up time of 5 µ s .

Low Drop out Regulators—LDO1 and LDO2

Two LDOs provide the regulated power supply for the SoC including all the external components. LDO1 provides a fixed supply voltage VDDI of 1.2 V for the internal analog blocks. LDO2 provides the supply voltage in the range of 1.2 V to 2.1 V for all the external components and the internal digital block. The output voltage of LDO2 can be fixed by choosing the resistors R 1 and R 2 as shown in Figure 4. Only LDO1 is discussed here as both the LDOs are similar. The LDOs presented in the works [43,44,45,46] analyzes the LDO fundamentals including different kinds of implementation. The LDO1 presented here is very similar to the one presented in the work [46] and is initially proposed in [47].
Figure 6 shows the schematic of the LDO1, where M3-6 forms the first stage, M7-11 forms the second (inverting) stage and the pass element MPa is the third stage. The devices M12-14 form a common source stage with source degeneration. This provides the gate voltage for the load device M16, which acts as an active resistor to set the output voltage at 1.2 V . To achieve a good phase margin and stability, a pole splitting capacitor C m 1 in the form of Miller compensation is provided along with a resistor R m 1 . Including only C m 1 would have caused a right half plane zero (RHP), resulting in a stability issue.
The transconductance, output capacitance and the output resistance for each of the stages are denoted as G m x , C o x and R o x respectively, where the subscript x indicates the respective number of the stage. The transfer function for the proposed LDO1, which is also the loop gain frequency response, is given as H ( s ) , which is further expressed by the following equation:
H s = V o s V i s = k 1 + s Z 0 1 + s ω P 1 · 1 + s ω P 2 · 1 + s ω P 3 ,
where V o s is the output and the V i s is the input voltage, k indicates the DC gain, Z 0 is the zero and P 1 , P 2 , P 3 are number of poles for each stage. LDO1 never operates in a no load condition and has to support a minimum load of the communication unit, field detector unit and the clock regenerator unit and the maximum load is for the sensor readout unit. The transfer function is given for the maximum load condition, where the G m 3 G m 1 and G m 2 . The H ( s ) for LDO1 is given as:
H s = G m 1 G m 2 G m 3 R o 1 R o 2 R o 3 1 + s C m 1 1 G m 1 G m 2 R m 1 G m 2 G m 3 1 + s C m 1 G m 2 G m 3 R o 1 R o 2 R o 3 · 1 + s G m 2 C g d P a · 1 + s G m 3 C g d P a C g d P a + C O 2 · C L
H s = G m 1 G m 2 G m 3 R o 1 R o 2 R o 3 1 + s C m 1 1 G m 1 G m 2 R m 1 G m 2 G m 3 1 + s C m 1 G m 2 G m 3 R o 1 R o 2 R o 3 · 1 + A · s + B · s 2 ,
where A = G m 3 C g d P a C g d P a + C O 2 · C L + G m 2 C g d P a and B = G m 2 G m 3 C g d P a C g d P a + C O 2 · C L . For a large load current G m 3 will be large and hence the pole P 3 has no effect on the stability. However, a minimum value of G m 3 is required to maintain for stability reason in case of a smaller load current which is obtained from the loop gain simulation as shown in Figure 7. The differential pair of the first stage is kept in weak inversion region, second and third stage are kept in saturation. Some of the important design parameters are G m 1 = 66 µ A / V , G m 2 = 4.24 µ A / V and G m 3 = 6.4 mA / V , R m 1 = 120 k Ω and C m 1 = 5 p F . M12-14 are kept in cut off region, which drives the device M16 having an equivalent resistance of 3.6 M Ω . The pass transistor MPa is designed with a channel resistance of 432 Ω and gate-drain capacitance of 216.3 f F .
The minimum and maximum load that the LDO1 needs to support ranges in between 17 µ A and 450 µ A respectively, however it is designed to support a maximum load of 1 m A . The line regulation for minimum and maximum load is 197 µ V / V and 92 µ V / V respectively. It has a minimum load regulation of 984 µ V / mA for a V P R = 1.45 V and 364 µ V / mA for a V P R = 1.85 V . For the temperature range of −30 °C to 85 °C and a maximum load of 1 m A it has a dropout voltage of 240 m V . For a V P R = 1.45 V , it consumes a power of 11 µ W . An output capacitor of 2.2 µ F is sufficient to provide stability for all possible load conditions i.e., from 17 µ A to 1 m A . The average PSR (power supply rejection) is 60 d B for all possible load conditions. Table 3 shows the stability analysis for different load conditions.

4.1.3. RF Communication Unit

The demodulator circuit consists of an envelope detector circuit, first order high pass filter, and a comparator. The demodulation rectifier used is a passive rectifier similar to the one implemented for the power rectifier, but with much smaller device dimension as it needs to support a maximum load of 85 µ W . The envelope detector circuit is used to extract the message sent by the reader, which is further given to the comparator circuit to generate the digital bit sequence. The rectifier provides the DC level of the envelope signal, the capacitor C d e m stores the charge for a short period of time, which is then discharged through the resistive load which is the bandgap reference circuit itself. The current consumption of the bandgap reference circuit is used as the current load for the envelope detector circuit. For C d e m , a 5 p F capacitor is used where the average minimum and maximum discharge time is 1.34 µ s and 1.8 µ s respectively.

4.1.4. Field Detector Unit

A digital Schmitt trigger circuit is applied to detect the RF field, similar to the one explained in [48]. When the field is detected, the output goes high or else it is low. The low pass filter has a cutoff frequency of 24 kHz as it prevents the Schmitt trigger to alter its state instantaneously in case the RF field is on or off for a very brief period of time. The field on/off signal is further used as the system reset signal by the digital block. The switching on and off time for the circuit is 150 µ s and 200 µ s respectively.

4.1.5. Clock Regenerator Unit

The clock regenerator unit extracts the clock from the RF field. An RS (set-reset) logic latch is used which is implemented using two NAND gates. The extracted clock pulse has a frequency of 13.56 MHz and a duty cycle of 50 ± 2 % . This clock is further divided by half ( 6.78 MHz ) to be used as the system clock. All other clocks required by the SoC are further generated from this clock and no external clock source is used.

4.2. Sensor Readout Unit

The sensor readout unit is initially proposed in [47,49], which is further modified. Figure 8 shows the system architecture of the sensor readout unit proposed here, which consists of channel selector, virtual ground generator, PGA (programmable gain amplifier) and a 12-bit SAR (successive approximation register) type ADC (analog to digital converter). In the following sections, the design of some of the circuits is discussed in details.

4.2.1. Channel Selector, Bias Generator Circuit and Virtual Ground Generator

The channel selector is realized as a multiplexer which is programmable and can be selected by the digital block. It has two differential and four single-ended inputs.
The bias generator is responsible for generating the bias voltages V B 1 = 663 m V , V B 2 = 888 m V and V B 3 = 242 m V at nominal (27 °C) conditions.
The virtual ground generator fulfills two purposes. Firstly, it provides the reference voltage VGND for the channel selector, PGA and the comparator for the SAR ADC. Secondly, as it is compatible with the human body model, it can be used as the reference potential by the reference electrode for the ECG measurement. Figure 9 shows the simplified circuit diagram of the virtual ground amplifier circuit which is a voltage follower circuit configuration. In the figure, the human body model is characterized by a resistive load R B = 1.5 k Ω and a capacitive load C B = 100 300 p F , which forms a low pass filter. The output stage of the virtual ground generator shall be able to source and sink current. For this, a PMOS differential amplifier is used as the first stage, which is followed by two common source amplifier stages CS1 and CS2 consisting of PMOS (M6) and NMOS (M9) devices respectively. Both the CS stages provide the voltage headroom close to both the rails for the class AB output stage. Class AB amplifier is ideal to drive larger load capacitances as the push-pull output stage can both sink and source current. M2, M3, M10, and M11 are kept in saturation, M6 and M9 are in weak inversion region. The transconductance ( G m ) for each of the stages is given as follows: G m s t a g e 1 = 11 µ A / V , for CS1: G m C S 1 = 9.3 µ A / V and for CS2: G m C S 2 = 2.8 µ A / V .
With a decoupling capacitor of 100 n F , it has a slewing time of 69 µ s , settling time of 170 µ s and an error band of 4 m V for a temperature of 37 °C which is the normal human body temperature. The closed-loop bandwidth at 37 °C is 10 kHz and has a closed-loop output resistance of 10 Ω . At no load condition, VGND = 606.7 m V and has a load regulation of 20 µ v / µ A . It has a total power consumption of 172 µ W and a minimum and maximum settling time of 160 µ s (at 85 °C) and 180 µ s (at −30 °C) respectively depending on the temperature. For this design, low threshold voltage transistors are used to keep the overall power consumption, low in comparison to the high threshold voltage transistors.

4.2.2. Programmable Gain Amplifier—PGA

The PGA is implemented using a three op-amp IA (instrumentation amplifier). There are different topologies which can be used to implement an IA some of which are presented in the works [50,51,52]. In this topology, no offset cancellation technique is provided rather the offset voltage is measured prior to every measurement, which is stored digitally. The stored offset value is then canceled from the measured sensor data.
Figure 10, shows the schematic diagram of the IA used here, where the input stage op amps A 1 and A 2 act as the voltage follower for the inputs V i n 1 and V i n 2 . The input resistance of the op amps A 1 and A 2 is desired to be as large as possible (ideally infinite) so that the signal to be measured remains undistorted. The input stage amplifies the differential signal but allows the common mode signal to pass through without any amplification.
Figure 11 shows the input stage op-amp A 1 and A 2 used, which are identical for the design. A two-stage amplifier is used for this purpose with a small compensating capacitor C m . It has a loop gain of 54 d B and an offset voltage ranging from 490 µ V (−30 °C ) to 640 µ V (+85 °C ), having an offset drift due to temperature by 10 µ V / ° C . It has a closed loop trans-impedance of 61.4 Ω and a closed loop gain of 46.63 d B in the frequency range of 10 kHz . It provides a CMR of 55 d B at unity gain configuration and has a power consumption of 143.3 µ W .
The difference amplifier A 3 is a three-stage amplifier, where first stage amplification is provided by the M2-5, M7 and M6 provide the second stage amplification and the current buffer M8-12 provides the third stage amplification. The topology is very similar to the one used for the LDO1 (Section 4.1.2) hence further explanation is avoided. The difference amplifier has an offset value ranging from 650 µ V to 1.4 m V depending on temperature and process variations and has a value of 192 µ V at nominal conditions (27 °C).
The resistor R g a i n as shown in Figure 10, is used to adjust the overall gain of the PGA, which is selected digitally by using the gain selector. The amplification of the PGA can be adjusted from 1×, 2×, 10×, 20×, 40×, 50×, 80× and 100×. The op-amp A 3 is arranged in the difference amplifier configuration involving the resistors R 2 and R 3 .
The output of the difference amplifier is given as:
V o u t = G · V i n 2 V i n 1 + V G N D
where V i n 2 and V i n 1 are the inputs and the G is the gain which is given as:
G = R 3 R 2 · 1 + 2 R 1 R g
In this case R 1 = R 2 = R 3 = R = 120 k Ω . Hence the gain factor G can be given as:
G = 1 + 2 R R g
The common mode signal is removed by the difference amplifier stage. CMR (Common mode rejection) provides an idea about how the PGA will behave in the presence of common mode input voltage. The CMR of the difference amplifier largely depends on the matching of the R 2 and R 3 resistors which are of the same value and in layout inter digitization is used for better matching. Figure 12 shows the CMR for different gain factor over the frequency range. For a gain of 100×, PGA has a CMR above 90 d B , which is a good figure-of-merit. In case of physiological signal measurement like ECG, the source impedance is in megohm range. Figure 12 yields an estimate of the effect of source resistance on the input noise figure in the measurement process which ignores the noise contribution of the source itself. For better noise immunity, a guard ring is provided in the layout around all the structures of the PGA. The differential pair of A 3 is realized by using common centroid layout to avoid variation due to temperature and process gradient. As obtained from the simulation for the PGA, for unity gain configuration, the closed loop output impedance is 45 Ω , a CMR of 50.6 d B and a power consumption of 157 µ W . For a load resistance of 100 k Ω and a load capacitance of 18 p F , the average SR (slew rate) of the PGA varies in between 0.97 V / µ s to 1.1 V / µ s . The input impedance is 2 M Ω at 100 kHz while the closed loop output impedance varies from 54 Ω to 1 Ω for gain 1× and 100× respectively. The gain margin is 4.5 MHz for a load capacitance of 18 p F and the 3 dB roll-off frequency is at 1 MHz .

4.2.3. SAR (Successive approximation register) type ADC (analog to digital converter)

A comparative study of different SAR architectures based on power consumption and linearity is provided in [53]. A 10-bit SAR ADC for medical implant devices with a novel switching scheme to improve the energy efficiency is presented in [54]. Some other state-of-the-art designs presented in recent times are [55,56]. The SAR ADC discussed here is based on the architecture provided in the work [57] which is again based on the work [58]. The basic architecture of the proposed SAR ADC is shown in Figure 13. The ADC module strictly comprises of a sample switch, comparator, capacitive array and SAR logic implemented in the digital block. In this architecture, the power consumption is less as each bit gets selected by the SAR logic, which is further added to the sampled input, which is the principal difference between this scheme and the conventional SAR ADC. For each addition of the input signal, the ΔVDAC is compared by the comparator with the reference voltage VGND, in case it is greater than the reference, the comparator gives a digital output of ‘1’ else ‘0’.

Sample and Hold Circuit

The process of sampling is controlled by the SAR logic module which is part of the digital block and depends on the mode selected for the ADC conversion. In case the only single conversion mode is selected, it is activated by using an active low signal and again deactivated after single conversion is over, but in case of continuous conversion mode, the process continues till it is stopped explicitly. The sampling switch is accomplished by using a transmission gate. In general, for each of the components used in the signal path, the input capacitance and the effective channel resistance shall be kept as low as possible. The transistors used in the transmission gate are divided into equal numbered fingers. This diminishes the effect of the gate capacitances in the input signal value.
R s a is the equivalent resistance of the sample switch and C s a is the equivalent load capacitance for the sampling switch. The time constant T D A C for the DAC (digital to analog converter) circuit can be given as:
T D A C = R s a · C s a
The relationship in between sampling time T s a and T D A C is given as:
T s a = 3 · T D A C
The designed maximum value of R s a is 1.4 k Ω and that of C s a is ∼18 pF, the value of T D A C obtained is 25.2 n s which is much faster than the maximum T s a which is 10.4 µ s with 96 kHz sampling frequency. The voltage spikes originating due to gate capacitances of the sampling switch, the comparator and the DAC circuit itself is damped out through the low resistive path provided by the output stage of the PGA which is able to sink current due to its low output impedance which is 1 k Ω ± 10 % as obtained from the simulation.

ADC Comparator

Comparators are the key components for an ADC as it sets the accuracy in terms of resolution and the working range of the ADC. The ADCs use either continuous time comparators or latch comparators. Most of the designs incorporate the latch comparator as it is less sensitive to noise distortion in comparison to the continuous time comparators as presented in the works [58,59,60,61,62,63,64,65]. This is due to the separation of the input and output stages but they have a discrete time operation. The work [66], presents a mathematical model for using multiple comparators to reduce the overall power consumption of the SAR ADC.
In this case, a low noise, low offset open loop comparator is used for sensing the voltage difference between each byte and the reference voltage VGND. The input capacitance plays an important role as it adds up to the stray capacitance affecting the overall accuracy of the capacitive array of the ADC.
The practical realization of the comparator is shown in Figure 14, where a two-stage operational amplifier is used. The first stage is realized by using a PMOS differential pair M7-8 with cascode load comprising of M9-12. The sensitivity of the comparator largely depends on the gain of the differential pair which in turn depends on the transconductance and the output resistance. As the output resistance of the ’Stage 1’ is increased due to cascoding, the differential stage has an increased gain at the expense of limited output voltage swing. This is again compensated by ’Stage 2’ which provides the voltage swing. Higher loop gain ensures good CMR value and lower input offset voltage. In order to have higher transconductance values for the input differential pairs, wide channel transistors are chosen in parallel in order to reduce the gate resistance. Multiple fingers are used to design each of the transistors as it reduces the effect of the input gate capacitances. The use of fingers can only minimize the effect of the gate capacitance mostly the gate-source capacitance C g s but cannot eliminate it completely. The input voltage difference will result in large voltage spikes due to voltage transition caused by the input capacitances. The gate-drain capacitance C g d will generate this spikes/edges to be translated back to both the input nodes. So a lower input capacitance is necessary, along with it the output stage of the PGA and the sample switch shall be able to sink sufficient amount of current in order to reduce the time constant required to discharge the capacitances. The designed input gate capacitance of the differential pair is 19 f F , gate-drain capacitance is 18 f F , drain junction capacitance is 44 f F and source junction capacitance is 53 f F .
Along with the input offset voltage, the thermal noise and the 1 f noise also brings in limitations for the accuracy of the comparator. The total input referred noise figure for the comparator is illustrated in the following noise analysis part.
The gain provided by the first stage of the comparator is A v 1 and that of second stage is A v 2 , where the overall gain A v is given by:
A v = A v 1 · A v 2
A v 1 = G m 1 R o 1
where G m 1 is the gain for the first stage and R o 1 is the equivalent output resistance for the first stage. Now G m 1 = g m 7 = g m 8 and R o 1 is given by the equation:
R o 1 = r o 8 r o 10 1 + g m 10 r o 12 + r o 12 r o 10 + r o 8 + r o 12 + g m 10 r o 10 r o 12
A v 1 = g m 8 r o 8 r o 10 1 + g m 10 r o 12 + r o 12 r o 10 + r o 8 + r o 12 + g m 10 r o 10 r o 12
A v 2 = g m 14 r o 13 r o 14 r o 13 + r o 14
A v = g m 8 g m 14 r o 8 r o 13 r o 14 r o 10 1 + g m 10 r o 12 + r o 12 r o 10 + r o 8 + r o 12 + g m 10 r o 10 r o 12 r o 13 + r o 14
Equation (13) can be further interpreted as Equation (17) in terms of transconductance g m i and output resistance r o i for the individual devices where suffix i denotes the respective device from Figure 14.
The total input referred noise V n , i n , t o t 2 ¯ is given as the sum of low frequency noise or 1 f noise and thermal noise. For the noise analysis, the noise contribution for each of the stages are considered depending on the region of operation for the individual devices.
Since the noise sources are uncorrelated, the principle of superposition can be used for the addition of the noise power. The 1 f noise V n , 1 / f 1 2 ¯ for the first stage differential pair is given as:
V n , 1 / f 1 2 ¯ = 2 K P C o x W . L 7 , 8 1 f
where K P is a process dependent constant for PMOS devices provided by the foundry, C o x is the oxide thickness, W . L 7 , 8 is the device dimension for M7-8 and f is the frequency. The process dependent factor K P is smaller for PMOS devices in compare to the NMOS devices ( K N ) as the later uses buried channel to carry holes. The thermal noise depends on the region of operation. Similarly the low frequency noise contribution for the second stage V n , 1 / f 2 2 ¯ is given by:
V n , 1 / f 2 2 ¯ = 1 C o x f K N W . L 14 + K P W . L 13 g m 13 g m 14 2
As shown in Equation (19), if the process dependent factors are kept constant, the 1 f noise is only dependent on the device dimensions. Hence large device dimensions are chosen to ensure smaller noise figures at low frequency.
W . L 13 / 14 and g m 13 / 14 are the device dimension and transconductances for the devices M13 and M14 respectively. So the total 1 f noise for both the stages combined V n , 1 / f t o t 2 ¯ are given by:
V n , 1 / f t o t 2 ¯ = 1 C o x · f 2 K P W . L 7 , 8 + K N W . L 14 + K P W . L 13 g m 13 g m 14 2
For long channel devices operating in strong inversion region the noise current I n 2 ¯ is given by:
I n 2 ¯ = 4 k T γ g m
where γ is called the excess noise factor. For devices in strong inversion γ = 2 3 for long channel devices and ≥2 to 3 for short channel devices. For devices in weak inversion region γ = n 2 . The thermal noise voltage V n , T 2 ¯ for individual devices is given as:
V n , T 2 ¯ = I n 2 ¯ r o 2
where r o is the output resistance for the particular device. For the first stage the differential pair (M7 and M8) and the load devices (M9-12) operate in weak inversion region. The total output thermal noise for the first stage V n o u t , T 1 2 ¯ is given as:
V n o u t , T 1 2 ¯ = 4 k T n G m 1 R o 1 2 + g m 10 r o 10 2 + g m 12 r o 12 2
Total input noise figure V n i n , T 1 2 ¯ for the first stage is given as:
V n i n , T 1 2 ¯ = V n o u t , T 1 2 ¯ A v 1 2
V n i n , T 1 2 ¯ = 4 k T n 1 G m 1 + g m 10 G m 1 2 r o 10 R o 1 2 + g m 12 G m 1 2 r o 12 R o 1 2
where R o 1 is given by Equation (14).
Similarly for the second stage the thermal noise figure can be obtained depending on the operating region for the individual devices. The device M13 operates in strong inversion region and M14 is kept in weak inversion region, so the second stage output thermal noise V n o u t , T 2 2 ¯ is given as:
V n o u t , T 2 2 ¯ = 2 k T n r o 13 r o 14 r o 13 + r o 14 g m 14 + 2 3 g m 13
Total input noise figure V n i n , T 2 2 ¯ for the second stage is given as:
V n i n , T 2 2 ¯ = V n o u t , T 2 2 ¯ A v 2 2
V n i n , T 2 2 ¯ = 2 k T n 1 g m 14 r o 13 r o 14 r o 13 + r o 14 1 + 2 3 g m 13 g m 14
So the total input thermal noise V n i n , T t o t 2 ¯ contribution for the first stage and the second stage combined is given by the sum of Equations (25) and (28):
V n i n , T t o t 2 ¯ = 4 k T n [ 1 G m 1 + g m 10 G m 1 2 r o 10 R o 1 2 + g m 12 G m 1 2 r o 12 R o 1 2 + 1 2 1 g m 14 r o 13 r o 14 r o 13 + r o 14 1 + 2 3 g m 13 g m 14 ]
The total input noise figure V n , i n t o t 2 ¯ including both the low frequency and the thermal noise is given as:
V n , i n t o t 2 ¯ = V n , 1 / f t o t 2 ¯ + V n i n , T t o t 2 ¯
Due to cascoding of the load for the differential pair, V n , T 2 ¯ has a smaller value in compare to the differential pair without cascoding load. The usage of cascode load and weak-inversion operation, reduces the overall input noise figure for the comparator. The input noise simulation for the comparator is shown in Figure 14, for an input source resistance of 100 k Ω and an input capacitance of 20 p F . From the figure, one can see 1 f noise figure is very low which is 170 n V / H z at 100 H z frequency.
The SR is also dependent on the region of operation. The slew rate is given by:
S R = 4 π I D s g m · G B W
where GBW is the gain bandwidth. Now for weak inversion region I D s g m = n k T q , hence Equation (31) can be written as:
S R = 4 π n k T q · G B W
Hence, if the GBW is kept constant, the SR for weak inversion is only dependent on the temperature T.
The differential pair M7 and M8 is divided into four parallel transistors and each of them are arranged in a common centroid layout to avoid the effect of mismatches due to temperature or oxide gradients. The current mirrors and bias circuits are also constructed by using dummy transistors to reduce the effect of mismatches. The usage of the sub-threshold operation helps to reduce the offset voltage value and the overall power consumption. For typical cases at a nominal temperature (T = 27 °C), the comparator has a sensitivity of 8 µ V and for human body temperature (37 °C) the offset is at 10 µ V . Some of the key figure-of-merits for the comparator is listed in Table 4.

ADC Capacitive array

The capacitor array for the ADC can be implemented in a different manner, for example, the works [64,67] have a split architecture with two separate DACs. The work [65] implements the DAC array with differential capacitor networks, which are composed of 10-bit split schemes along with an additional sampling capacitor. The work presented in [62] uses a segmented binary weighted capacitor DAC. In this case, a charge redistribution type DAC is used with a binary weighted capacitor array [58,59,61,65].
Physically the capacitor arrays are manufactured by using unit metal, i.e., two top metal layers in MIM (metal-dielectric-metal) capacitors which reduce the effect of fringe capacitances to a considerable extent. This because of capacitance, in general, is inversely proportional to the distance in between the parallel plates. Hence, considering the dielectric constants and the overall area are kept constant, the capacitance value will be reduced as the distance between the metal layers and the substrate increases.
In a conventional switching scheme of SAR ADC, a lot of energy gets lost in charging and discharging the capacitors as also mentioned in [57]. Also, the energy required to charge and discharge a capacitor is directly proportional to the value of the unit capacitor used. The value of the unit capacitor largely depends on the thermal noise and the mismatch where the later plays a dominant role. A detailed discussion regarding the nominal value of the unit capacitor and the standard deviation due to mismatch is provided in the works [61,68,69,70]. The matching of a MIM capacitor can be given as:
σ C C = K σ A C
where σ C C is the standard deviation of the capacitor mismatch, K σ is the matching coefficient and A C is the capacitor area. Further it can be simplified for the standard deviation σ C for a single capacitor:
σ C = K C · K σ · A C 2
In the CMOS process used here, the MIM capacitor has a density of 1.23 f F / µ m 2 and a matching of 1%. Keeping this in consideration, the value of the unit capacitor chosen is 4 f F with a dimension of 186 µ m × 1.86 µ m . A test structure for the characterization of small metal fringe capacitances is discussed in detail in [71]. An offset capacitor C o f f s e t is included so as to set the entire voltage range of conversion which also depends on the accuracy of the comparator. Each of the bits is represented by a capacitor value starting from 2N to 2(N-1) where ‘N’ denotes the number of bits. For the LSB (least significant bit), the number of the unit capacitor is 1 and for the MSB (most significant bit) it is 4096. Each capacitor has a driver stage S 2 N which is again controlled by the bit value. This reduces the effect of variation in line impedance [49] which in this case is same for each capacitor as shown in Figure 13. The total capacitance C t o t a l value is 16.36 pF and as the VDDI is 1.2V the range of ΔVDAC:
V D A C = V D D I · C t o t a l C t o t a l + C o f f s e t
C t o t a l = N = 1 12 C ( N 1 )
where the voltage range lies in between 1.06 V (max) and 150 mV (min). The equivalent digital value can be calculated by using the following relationship:
A D C N = V a n a V L V H V L · 2 N 1
where V a n a is the value of the analog input signal, V H = 1.06 V , V L = 150 m V and N = 1 to 12.
Only mismatch consideration for the unit capacitors is not enough; a common centroid layout is required for the capacitive array to avoid the consequence of the temperature or the process gradients. A considerable insight, into the DAC layout strategy for mismatches, is provided in [72]. Most of the designs use a full centroid layout, as in [57]. In this case, a partial centroid layout is used as shown in Figure 15 which is similar to the layout presented in [61]. The bit 0 to 6 and bit 7 are constructed without centroid layout, whereas bit 8 to bit 11 are constructed by using centroid layout. All the rows in the layout are constructed symmetrically including the offset capacitors by using the bit 7 as the unit cell. In this way, the total area for the capacitor array can be kept around 8.75% of the complete chip area. Dummy capacitors are provided to maintain the same environment for all the capacitors and the capacitor units are isolated from each other by using guard rings.
Post layout simulation is done after parasitic extraction in order to realize the effect of the parasitics on the design. The complete input range of the ADC is 903 m V , the theoretical value of LSB is 220 µ V which is smaller than the one proposed in [57]. The effective resolution of the comparator is 8 µ V without any mismatches, which is almost 28 times smaller than the LSB. The differential non linearity (DNL) and integral non linearity (INL) is simulated with a sinusoidal signal of 50 mV peak-to-peak close to the Nyquist frequency ( 3.3 kHz ) and the sampling rate used is 6.6 kHz . The simulated INL is +0.62/−0.56 LSB and simulated DNL is +0.5/−0.68 LSB.
From the knowledge of DNL the signal-to-noise-ratio due to quantization can be estimated by:
S N R Q + D N L = 6.02 N 9.03 10 log 10 1 12 + D N L 2 2 λ 2
where N is the number of bits for the ADC and λ is the threshold value in the Gaussian probability function. For N = 12, λ = 3.0 and DNL = −0.68LSB, S N R Q + D N L = 72.83 d B . From this the effective number of bits (ENOB) can be calculated by using the formula:
E N O B = S N R Q + D N L d B 1.76 d B 6.02 d B / b i t
which gives a value of E N O B = 11.80 . The estimated value for Spurious-free-dynamic-range (SFDR) is 76.4 d B which is obtained from the knowledge of INL by using the relation S F D R = 20 log 10 2 N / I N L .

4.3. Power Consumption Distribution of the Analog Part

The RFID/NFC communication and power management unit consumes 48.8 µ W . The sensor readout unit consumes 543 µ W which make a total power consumption of 592 µ W for the analog block. Figure 16 shows the power consumption, distribution for the analog block. In the power management unit, the majority of the power is consumed by LDO1 = 15.3 µ W , LDO2 = 7.6 µ W and bandgap reference circuit = 5.1 µ W . The communication circuit consumes 16.1 µ W , the field detector and the clock regenerator consumes 1.8 µ W and 2.9 µ W respectively. In the sensor readout unit, the most of the power is consumed by the PGA = 363 µ W and Virtual ground generator = 172 µ W . Other than that, the power consumption for the bias generator is 7.8 µ W and that of the ADC module which includes the comparator, DAC and sampling switch is 310 nW .

4.4. Digital Design

Figure 17 shows the digital architecture of the proposed SoC which consists of a 32-bit microcontroller core (SIRIUS JANUS 2.0), memory cells (RAM and ROM) and other peripherals. The field on/off signal generated by the field detector circuit is used as the reset for all the digital peripherals including the microcontroller.
The microcontroller used here is developed by the ASIC design center at Hochschule Offenburg, based on the Von Neumann architecture proposed in [73,74]. Since then, further modifications have been carried out over the years, and the present version has been the one presented in [75]. It has a 16-bit data bus and a 32-bit address bus and the arithmetic and logical operations are based on Reduced Instruction Set Computing (RISC). The microcontroller is designed to operate at a frequency of 50 MHz , for this SoC it operates at a much lower frequency of 6.78 MHz . Slower clock frequency has two-fold advantages: firstly no internal PLL (Phase locked loop) is required which otherwise would have occupied 12.5% extra area; secondly, the slower clock frequency ensures that overall power consumption of the digital part remains low - which is already discussed in Section 3.
The SPI (serial peripheral interface) is used to communicate with the external memory device like a flash or a FRAM, where the application firmware is kept stored which is uploaded into the internal RAM of the SoC. The standard SPI signals used are MISO (Master input slave output), MOSI (Master output slave input), SPI clock and two CS (chip select) outputs. The maximum SPI clock frequency is 1 5 t h of the system clock. One of the chip select is by default set for selecting the external memory device while the other chip select is free to be used for another SPI device.
There are four timers available, out of which two are dedicated to the internal operation and two are left free to be used by the application firmware if required. One of the internal timers is used by the bootup routine, and the other one is used by the RFID/NFC interface block.
The RFID/NFC interface consists of the digital logic required to extract the message from the demodulated signal and subsequently preparing the response.
The ADC interface consists of the SAR logic, ADC clock pre-scaler, data registers and control registers. The SAR logic consists of the binary logic required for the measurement in successive approximation. The SAR logic consists of shift registers which enable each bit starting from the MSB synchronized with the ADC clock. The ADC has a maximum conversion rate of 96 k b p s and a sampling rate of 6.6 kHz ; further, it can either be operated in single or continuous conversion mode. At the end of a conversion cycle, an interrupt signal is generated. The interrupt signal is then synchronized with the system clock, to avoid the asynchronous operation. The result of the ADC conversion is stored in two eight bit data registers, one for MSB and the other one for LSB values.
The ROM contains the initial bootup process, which includes a hardware initialization routine required to make the SoC functional and an SPI routine. The SPI routine initiates the SPI communication in between the SoC and the external memory device, as it loads the application specific firmware from the external memory device into the internal RAM. The ROM also contains a UID (unique identification) for the SoC which can be read by using the RFID or NFC communication protocol. This is also part of the initialization check for the system, which assures the integrity of the hardware and the internal memory of the system.

4.5. Duty Cycle of Operation

Figure 18 shows a brief overview of the complete duty cycle of operation involved in a measurement process in case a single ADC conversion mode is activated. The boot-up sequence requires the maximum duty cycle, which is nearly 75%. The sensor readout unit which consumes the maximum power in the analog part is only active for approximately 5% of the entire duty cycle.

5. Complete Layout of the SoC

Figure 19 shows the complete layout of the system. The power circuitries like the rectifiers, over-voltage protection, and the LDOs are kept away from the sensitive circuits like that of the PGA or the SAR ADC comparator. The RFID/NFC energy harvesting and management block are kept isolated from the sensor interface and SAR ADC block by using guard rings. Similar isolation is also provided in between the analog and the digital blocks. For all the input signals of the sensor interface part, shielding is provided for noise immunity. The bond pads are placed right on the pin cells, which saves nearly 30for the analog and the digital part are kept isolated from each other. Some of the critical design detail for the digital layout are included in Table 5.

6. Functional Verification and Testing

The RFID/NFC communication and power management unit is realized as a stand-alone chip and is tested for full functionality. The power rectifier of the power supply and management unit has a measured power conversion efficiency of 45% (max) for a load of 1 k Ω .The communication unit is designed in conformation to the ISO 15693/NFC 5 standard. The overall power consumption of the standalone chip is 107 µ W which is least in comparison to some other state-of-the-art ICs [30,31,32,76,77].
For the sensor readout unit, post layout mixed signal simulation is done to test the full functionalities.
To test the compatibility between the analog and the digital part, an FPGA (Field Programmable Gate Array) evaluation board is used which is marked as ’SIRIUS eval board’ in Figure 20. The evaluation board is further interfaced with the analog circuit interface board designed using discrete components. The analog circuit interface board consists of RFID/NFC communication unit, sensor interface unit and ADC. A post-layout simulation is carried out with the extracted netlist for the digital part after the final layout is accomplished. For the post-layout simulation, internal RAM and ROM and the external SPI flash device containing the application firmware is included to test the real-time functionalities. Table 6 gives an overview of the complete design of the SoC.
To the best knowledge of the authors, an exactly similar SoC is unavailable for comparison which possesses all the features discussed in this paper. Table 7 presents a comparative view of the related works in this field. The work presented in [10] is an NFC enabled SoC which uses the communication protocol of ISO 15693 standard. The application area of the SoC is limited to glucose sensor measurement. A very similar application type SoC is discussed in [12] , but is incompatible with RFID/NFC communication protocol, besides it does not include an integrated microcontroller. Nevertheless, values presented by other works in the table are measured, while in this work only simulation values are presented.
The particular advantage of the SoC is that it is programmable. The firmware can be customized; the PGA and the SAR ADC can be reconfigured based on the application specific requirement. The supply voltage is in the range of 1.4 V 1.8 V . This makes it compatible with commercially available external devices like temperature or pressure sensors or external memory devices like Flash or FRAM. A JTAG (joint test action group) boundary scan is included, for on-chip debugging. The sensor readout part is only activated whenever it is required to make a measurement otherwise, it is kept isolated from the power supply, this reduces the static power consumption.
Next step is the comprehensive functional tests of the SoC after fabrication and the implementation of the proposed blood pressure monitoring implant. In this respect, the present research work includes a selection of the antenna design and the pressure sensor which is suitable to operate in the human body environment. The complete mechanical construction and biocompatible encapsulation of the implant are part of the future research.

7. Conclusions

A low power mixed-signal passive programmable SoC is presented in this paper. The design is implemented in a 0.18 µ m CMOS technology, on a die area of 1.52 m m × 3.24 mm —which includes the pads. The analog front-end consists of circuitries required for RFID/NFC communication and sensor readout. The digital part consists of a 32-bit RISC microcontroller along with other digital peripherals. The SoC has 16 KB of RAM and ROM as internal memory devices. It is a passive SoC which uses inductive coupling to power the entire sensor tags developed using the SoC. It uses a carrier frequency of 13.56 MHz in compliance with ISO 15693 or NFC5 standard. The complete integration of the microcontroller along with the analog part for communication and sensor readout is useful for small size, low cost, and low power sensor applications. The application area can be a temperature measurement for industrial applications or a bioimplant to measure blood pressure or ECG. The complete measurement of the chip after fabrication and realization of the proposed implant discussed in the paper is part of the future work.

Acknowledgments

The authors would like to thank Benjamin Dusch for his help in the layout and designing of the SoC. Authors will also like to thank MPC (Multi Project Chip) group for the funding of the chip fabrication.

Author Contributions

Mayukh Bhattacharyya is the main author and is responsible for writing the paper. Waldemar Gruenwald has provided valuable inputs for the design of the digital part and has also contributed substantially for writing the same. Dirk Jansen and Leonhard Reindl are responsible for providing valuable suggestions during the design phase and also while preparing the manuscript. Jasmin Aghassi-Hagmann is responsible with the proof read and formatting of the paper.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Leon-Chaffee, E.; Light, R.U. A method for the remote control of electrical stimulation of the nervous system. Yale J. Biol. Med. 1949, 7, 83–128. [Google Scholar]
  2. Breakell, C.C.; Manc, L.D.S.; Parker, C.S.; Christopherson, F. Radio transmission of the human electroencephalogram and other electrophysiological data. Electroencephalogr. Clin. Nerophysiol. 1949, 1, 243–244. [Google Scholar]
  3. Farrar, J.T.; Zworykin, V.K. Pressure-Sensitive Telemetering Capsule for Study of Gastrointestinal Motility. Science 1957, 126, 975–976. [Google Scholar] [CrossRef] [PubMed]
  4. Cardullo, M.W. Transponder Apparatus and System. U.S. Patent 3,713,148 A, 23 January 1973. [Google Scholar]
  5. Landt, J. Shrouds of Time: The History of RFID; AIM: Pittsburgh, PA, USA, 2001; pp. 8–11. [Google Scholar]
  6. Wang, D.; Hu, J.; Tan, H.Z. A Highly Stable and Reliable 13.56-MHz RFID Tag IC for Contactless Payment. IEEE Trans. Ind. Electron. 2015, 62, 545–554. [Google Scholar] [CrossRef]
  7. Volk, T.; Gorbey, S.; Bhattacharyya, M.; Grünwald, W.; Lemmer, B.; Reindl, L.M.; Stieglitz, T.; Jansen, D. RFID Technology for Continuous Monitoring of Physiological Signals in Small Animals. IEEE Trans. Biomed. Circuits Syst. 2015, 62, 4365–4373. [Google Scholar] [CrossRef] [PubMed]
  8. Xiao, Z.; Tan, X.; Chen, X.; Chen, S.; Zhang, Z.; Zhang, H.; Wang, J.; Huang, Y.; Zhang, P.; Zheng, L.; et al. An Implantable RFID Sensor Tag toward Continuous Glucose Monitoring. IEEE Trans. Biomed. Circuits Syst. 2015, 19, 910–919. [Google Scholar] [CrossRef] [PubMed]
  9. 1974: DigitalWatch is First System-On-Chip Integrated Circuit. Available online: http://www.computerhistory.org/ (accessed on 03.11.2017).
  10. DeHennis, A.; Getzlaff, S.; Grice, D.; Mailand, M. An NFC-Enabled CMOS IC for a Wireless Fully Implantable Glucose Sensor. IEEE J. Biomed. Health Inform. 2016, 20, 18–28. [Google Scholar] [CrossRef] [PubMed]
  11. Cheong, J.H.; Yan Ng, S.S.; Liu, X.; Xue, R.-F.; Jen Lim, H.; Basappa Khannur, P.; Lim Chan, K.; Astuti Lee, A.; Kang, K.; Shiah Lim, L.; et al. An Inductively Powered Implantable Blood Flow Sensor Microsystem for Vascular Grafts. IEEE Trans. Biomed. Eng. 2012, 59, 2466–2475. [Google Scholar] [CrossRef] [PubMed]
  12. Huang, Y.J.; Tzeng, T.H.; Lin, T.W.; Huang, C.W.; Yen, P.W.; Kuo, P.H.; Lin, C.T.; Lu, S.S. A Self-Powered CMOS Reconfigurable Multi-Sensor SoC for Biomedical Applications. IEEE J. Solid-State Circuits 2014, 49, 851–866. [Google Scholar] [CrossRef]
  13. Zhang, Y.; Zhang, F.; Shakhsheer, Y.; Silver, J.D.; Klinefelter, A.; Nagaraju, M.; Boley, J.; Pandey, J.; Shrivastava, A.; Carlson, E.J.; et al. A Batteryless 19 μW MICS/ISM-Band Energy Harvesting Body Sensor Node SoC for ExG Applications. IEEE J. Solid-State Circuits 2013, 48, 199–213. [Google Scholar]
  14. Sharma, A.; Polley, A.; Lee, S.; Narayanan, S.; Li, W.; Sculley, T.; Ramaswamy, S. A Sub-60-μA Multimodal Smart Biosensing SoC with >80-dB SNR, 35-μA Photoplethysmography Signal Chain. IEEE J. Solid-State Circuits 2017, 52, 1021–1033. [Google Scholar] [CrossRef]
  15. Yip, M.; Jin, R.; Heidi Nakajima, H.; Stankovic, K.M.; Chandrakasan, A.P. A Fully-Implantable Cochlear Implant SoC With Piezoelectric Middle-Ear Sensor and Arbitrary Waveform Neural Stimulation. IEEE J. Solid-State Circuits 2015, 50, 214–229. [Google Scholar] [CrossRef] [PubMed]
  16. Khayatzadeh, M.; Zhang, X.; Tan, J.; Liew, W.-S.; Lian, Y. A 0.7-V 17.4-μW 3-Lead Wireless ECG SoC. IEEE Trans. Biomed. Circuits Syst. 2013, 7, 583–592. [Google Scholar] [CrossRef] [PubMed]
  17. Bhattacharyya, M.; Grünwald, W.; Dusch, B.; Aghassi-Hagmann, J.; Jansen, D.; Reindl, L. A RFID/NFC based Programmable SoC for biomedical applications. In Proceedings of the International SoC Design Conference (ISOCC), Jeju, Korea, 3–6 November 2014; pp. 80–81. [Google Scholar]
  18. Bhattacharyya, M.; Dusch, B.; Jansen, D.; Mackensen, E. Design and Verification of a Mixed-Signal SoC for Biomedical Applications; Hochschule Ulm: Ulm, Germany, 2015; pp. 43–48. ISSN 1868-9221. [Google Scholar]
  19. Nehler, M.R.; Duval, S.; Diao, L.; Annex, B.H.; Hiatt, W.R.; Rogers, K.; Zakharyan, A.; Hirsch, A.T. Epidemiology of peripheral arterial disease and critical limb ischemia in an insured national population. J. Vasc. Surg. 2014, 60, 686–695. [Google Scholar] [CrossRef] [PubMed]
  20. Anderson, J.L.; Halperin, J.L.; Albert, N.M.; Bozkurt, B.; Brindis, R.G.; Curtis, L.H.; DeMets, D.; Guyton, R.A.; Hochman, J.S.; Kovacs, R.J.; et al. Management of Patients With Peripheral Artery Disease. J. Am. Coll. Cardiol. 2013, 127, 1425–1443. [Google Scholar]
  21. Fowkes, F.-G.R.; Rudan, D.; Rudan, I.; Aboyans, V.; Denenberg, J.O.; McDermott, M.M.; Norman, P.E.; Sampson, U.K.A.; Williams, L.J.; Mensah, G.A.; et al. Comparison of global estimates of prevalence and risk factors for peripheral artery disease in 2000 and 2010: A systematic review and analysis. Lancet 2013, 382, 1329–1338. [Google Scholar] [CrossRef]
  22. Federal Communications Commission. FCC Body Tissue Dielectric Parameters; Federal Communications Commission: Washington, DC, USA, 2017.
  23. Alioto, M. Ultra-Low Power VLSI Circuit Design Demystified and Explained: A Tutorial. IEEE Trans. Circuits Syst. I Regul. Pap. 2012, 59, 3–29. [Google Scholar] [CrossRef]
  24. Sarpeshkar, R. Universal Principles for Ultra Low Power and Energy Efficient Design. IEEE Trans. Circuits Syst. II 2012, 59, 193–198. [Google Scholar] [CrossRef]
  25. Tajalli, A.; Leblebici, Y. Design Trade-offs in Ultra-Low-Power Digital Nanoscale CMOS. IEEE Trans. Circuits Syst. I Regul. Pap. 2011, 58, 2189–2200. [Google Scholar] [CrossRef]
  26. Lundager, K.; Zeinali, B.; Tohidi, M.; Madsen, J.K.; Moradi, F. Low Power Design for FutureWearable and Implantable Devices. J. Low Power Electron. Appl. 2016, 6, 20. [Google Scholar] [CrossRef]
  27. Comer, D.J.; Comer, D.T. Using the Weak Inversion Region to Optimize Input Stage Design of CMOS Op Amps. IEEE Trans. Circuits Syst. II 2004, 51, 8–14. [Google Scholar] [CrossRef]
  28. Rabaey, J. Low Power Design Essentials; Springer: Berlin, Germany, 2009. [Google Scholar]
  29. Lotze, N.; Manoli, Y. Ultra-Sub-Threshold Operation of Always-On Digital Circuits for IoT Applications by Use of Schmitt Trigger Gates. IEEE Trans. Circuits Syst. I Regul. Pap. 2017, 64, 2920–2933. [Google Scholar] [CrossRef]
  30. Lu, C.; Li, J.-A.; Lin, T.H. A 13.56-MHz passive (NFC) tag IC in 0.18-μm CMOS process for biomedical applications. In Proceedings of the International Symposium on VLSI Design, Automation and Test (VLSI-DAT), Hsinchu, Taiwan, 25–27 April 2016; pp. 1–4. [Google Scholar]
  31. Lee, J.W.; Vo, D.; Hong, S.H.; Huynh, Q.H. A fully integrated high security NFC target IC using 0.18 μm CMOS process. In Proceedings of the ESSCIRC (ESSCIRC), Helsinki, Finland, 12–16 September 2011; pp. 551–554. [Google Scholar]
  32. Lee, J.W.; Vo, D.H.T.; Huynh, Q.H.; Hong, S.H. A Fully Integrated HF-Band Passive RFID Tag IC Using 0.18 μm CMOS Technology for Low-Cost Security Applications. IEEE Trans. Ind. Electron. 2011, 58, 2531–2540. [Google Scholar]
  33. Dongsheng, L.; Huan, L.; Xuecheng, Z.; Liang, G.; Ke, Y.; Zilong, L. A High Sensitivity Analog Front-end Circuit for Semi-Passive HF RFID Tag Applied to Implantable Devices. IEEE Trans. Circuits Syst. I Regul. Pap. 2015, 62, 1991–2002. [Google Scholar] [CrossRef]
  34. Haddad, P.A.; Gosset, G.; Raskin, J.P.; Flandre, D. Automated Design of a 13.56 MHz 19 μW Passive Rectifier with 72% Efficiency Under 10 μA load. IEEE J. Solid-State Circuits 2016, 51, 1290–1301. [Google Scholar] [CrossRef]
  35. Li, X.; Tsui, C.-Y.; Ki, W.-H. A 13.56 MHz Wireless Power Transfer System With Reconfigurable Resonant Regulating Rectifier and Wireless Power Control for Implantable Medical Devices. IEEE J. Solid-State Circuits 2015, 50, 978–989. [Google Scholar] [CrossRef]
  36. Hashemi, S.; Sawan, M.; Savaria, Y. A High-Efficiency Low-Voltage CMOS Rectifier for Harvesting Energy in Implantable Devices. IEEE Trans. Biomed. Circuits Syst. 2012, 6, 326–335. [Google Scholar] [CrossRef] [PubMed]
  37. Duan, Q.; Roh, J. A 1.2-V 4.2-ppm/°C High-Order Curvature-Compensated CMOS Bandgap Reference. IEEE Trans. Circuits Syst. I Regul. Pap. 2015, 62, 662–670. [Google Scholar] [CrossRef]
  38. Abbasi, M.U.; Raikos, G.; Saraswat, R.; Rodriguez-Villegas, E. A high PSRR, ultra-low power 1.2 V curvature corrected Bandgap Reference for Wearable EEG application. In Proceedings of the IEEE 13th International New Circuits and Systems Conference (NEWCAS), Grenoble, France, 7–10 June 2015; pp. 1–4. [Google Scholar]
  39. Ma, B.; Yu, F. A Novel 1.2-V 4.5-ppm/°C Curvature-Compensated CMOS Bandgap Reference. IEEE Trans. Circuits Syst. I Regul. Pap. 2014, 61, 1026–1035. [Google Scholar] [CrossRef]
  40. Tsividis, Y.P. Accurate analysis of temperature effects in IC/-VBE characteristics with application to bandgap reference sources. IEEE J. Solid-State Circuits 1980, 15, 1076–1084. [Google Scholar] [CrossRef]
  41. Widlar, R.J. New developments in IC voltage regulators. IEEE J. Solid-State Circuits 1971, 6, 2–7. [Google Scholar] [CrossRef]
  42. Wang, B.; Law, M.K.; Bermak, A.; Luong, H.C. A Passive RFID Tag Embedded Temperature Sensor With Improved Process Spreads Immunity for a 30 °C to 60 °C Sensing Range. IEEE Trans. Circuits Syst. I Regul. Pap. 2014, 61, 337–346. [Google Scholar] [CrossRef]
  43. Maity, A.; Patra, A. A Single-Stage Low-Dropout Regulator With a Wide Dynamic Range for Generic Applications. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2016, 24, 2117–2127. [Google Scholar] [CrossRef]
  44. Huang, C.H.; Ma, Y.T.; Liao, W.C. Design of a Low-Voltage Low-Dropout Regulator. IEEE Trans. Very Large Scale Integr. (VLSI) Syst 2014, 22, 1308–1313. [Google Scholar] [CrossRef]
  45. Heng, S.; Pham, C.K. A Low-Power High-PSRR Low-Dropout Regulator With Bulk-Gate Controlled Circuit. IEEE Trans. Circuits Syst. II 2010, 57, 245–249. [Google Scholar] [CrossRef]
  46. Lau, S.K.; Mok, P.K.T.; Leung, K.N. A Low-Dropout Regulator for SoC With Q-Reduction. IEEE J. Solid-State Circuits 2007, 42, 658–664. [Google Scholar] [CrossRef]
  47. Dusch, B. Entwicklung und Layout Eines Analog-Digital-Wandlers mit 12 Bit Auflösung in Einer 180 nm-CMOS-Zieltechnologie. Master’s Thesis, University of Applied Sciences, Offenburg, Germany, 2014. [Google Scholar]
  48. Melek, L.A.P.; da Silva, A.L.; Schneider, M.C.; Galup-Montoro, C. Analysis and Design of the Classical CMOS Schmitt Trigger in Subthreshold Operation. IEEE Trans. Circuits Syst. I Regul. Pap. 2017, 64, 869–878. [Google Scholar] [CrossRef]
  49. Dusch, B.; Bhattacharyya, M.; Jansen, D. Entwicklung und Layoutentwurf Eines Analog-Digital-Wandlers mit 12 Bit Auflösung in Einer 180 nm-CMOS-Technologie; Hochschule Ulm: Ulm, Germany, February 2015; pp. 13–21. ISSN 1868-9221. [Google Scholar]
  50. Butti, F.; Piotto, M.; Bruschi, P. A Chopper Instrumentation Amplifier With Input Resistance Boosting by Means of Synchronous Dynamic Element Matching. IEEE Trans. Circuits Syst. I Regul. Pap. 2017, 64, 753–764. [Google Scholar] [CrossRef]
  51. Worapishet, A.; Demosthenous, A.; Liu, X. A CMOS Instrumentation Amplifier with 90-dB CMRR at 2-MHz Using Capacitive Neutralization: Analysis, Design Considerations, and Implementation. IEEE Trans. Circuits Syst. I Regul. Pap. 2011, 58, 699–710. [Google Scholar] [CrossRef]
  52. Wu, R.; Makinwa, K.A.A.; Huijsing, J.H. A Chopper Current-Feedback Instrumentation Amplifier With a 1 MHz 1/f Noise Corner and an AC-Coupled Ripple Reduction Loop. IEEE J. Solid-State Circuits 2009, 44, 3232–3243. [Google Scholar] [CrossRef]
  53. Saberi, M.; Lotfi, R.; Mafinezhad, K.; Serdijn, W.A. Analysis of Power Consumption and Linearity in Capacitive Digital-to-Analog Converters Used in Successive Approximation ADCs. IEEE Trans. Circuits Syst. I Regul Pap. 2011, 58, 1736–1748. [Google Scholar] [CrossRef]
  54. Zhu, Z.; Liang, Y. A 0.6-V 38-nW 9.4-ENOB 20-kS/s SAR ADC in 0.18-μm CMOS for Medical Implant Devices. IEEE Trans. Circuits Syst. I Regul. Pap. 2015, 62, 2167–2176. [Google Scholar] [CrossRef]
  55. Nandi, P.; Talukdar, H.; Kumar, D.; Kumar, A.; Katakwar, G. A Novel Approach to Design SAR-ADC: Design Partitioning Method. IEEE Tran. Comput.-Aided Des. Integr. Circuits Syst. 2016, 35, 1277–1287. [Google Scholar] [CrossRef]
  56. Rahiminejad, E.; Saberi, M.; Lotfi, R. A Power-Efficient Signal-Specific ADC for Sensor-Interface Applications. IEEE Trans. Circuits Syst. II 2017, 64, 1032–1036. [Google Scholar] [CrossRef]
  57. Venuto, D.E.; Castro, D. Ultra low-power 12 bit SAR ADC for RFID Aapplications. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, Dresden, Germany, 8–12 March 2010; pp. 1071–1075. [Google Scholar]
  58. Van Elzakker, M.; van Tuijl, E.; Geraedts, P.; Schinkel, D.; Klumperink1, E.; Nauta1, B. A 1.9 μW 4.4fJ/Conversion-step 10b 1MS/s Charge-Redistribution ADC. In Proceedings of the IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, USA, 3–7 February 2008; pp. 244–245. [Google Scholar]
  59. Lin, K.T.; Cheng, Y.W.; Tang, K.T. A 0.5 V 1.28-MS/s 4.68-fJ/Conversion-Step SAR ADC with Energy-Efficient DAC and Trilevel Switching Scheme. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2016, 24, 1441–1449. [Google Scholar] [CrossRef]
  60. Huang, G.Y.; Chang, S.J.; Liu, C.-C.; Lin, Y.Z. 10-bit 30-MS/s SAR ADC Using a Switchback Switching Method. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2013, 21, 584–588. [Google Scholar] [CrossRef]
  61. Zhang, D.; Bhide, A.; Alvandpour, A. A 53-nW 9.1-ENOB 1-kS/s SAR ADC in 0.13-μm CMOS for Medical Implant Devices. IEEE J. Solid-State Circuits 2012, 47, 1585–1595. [Google Scholar] [CrossRef]
  62. Cho, S.H.; Lee, C.K.; Lee, S.G.; Ryu, S.T. A Two-Channel Asynchronous SAR ADC With Metastable-Then-Set Algorithm. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2012, 20, 765–769. [Google Scholar] [CrossRef]
  63. Harpe, P.J.A.; Zhou, C.; Bi, Y.; van der Meijs, N.P.; Wang, X.; Philips, K.; Dolmans, G.; de Groot, H. A 26 μW 8 bit 10 MS/s Asynchronous SAR ADC for Low Energy Radios. IEEE J. Solid-State Circuits 2011, 46, 1585–1595. [Google Scholar]
  64. Lee, S.K.; Park, S.J.; Park, H.J.; Sim, J.Y. A 21 fJ/Conversion-Step 100 kS/s 10-bit ADC With a Low-Noise Time-Domain Comparator for Low-Power Sensor Interface. IEEE J. Solid-State Circuits 2011, 46, 651–659. [Google Scholar] [CrossRef]
  65. Zhu, Y.; Chan, C.-H.; Chio, U.-F.; Sin, S.-W.; Seng-Pan, U.; Martins, R.P.; Maloberti, F. A 10-bit 100-MS/s Reference-Free SAR ADC in 90 nm CMOS. IEEE J. Solid-State Circuits 2010, 45, 1111–1121. [Google Scholar] [CrossRef]
  66. Ahmadi, M.; Namgoong, W. Comparator Power Minimization Analysis for SAR ADC Using Multiple Comparators. IEEE Trans. Circuits Syst. I Regul. Pap. 2015, 62, 2369–2379. [Google Scholar] [CrossRef]
  67. Verma, N.; Chandrakasan, A.P. An Ultra Low Energy 12-bit Rate-Resolution Scalable SAR ADC for Wireless Sensor Nodes. IEEE J. Solid-State Circuits 2007, 42, 1196–1205. [Google Scholar] [CrossRef]
  68. Fredenburg, J.A.; Flynn, M.P. Statistical Analysis of ENOB and Yield in Binary Weighted ADCs and DACS With Random Element Mismatch. IEEE Trans. Circuits Syst. I Regul. Pap. 2012, 59, 1396–1408. [Google Scholar] [CrossRef]
  69. Lee, Y.; Song, J.; Park, I.C. Statistical modeling of capacitor mismatch effects for successive approximation register ADCs. In Proceedings of the International SoC Design Conference (ISOCC), Jeju, Korea, 17–18 November 2011; pp. 302–305. [Google Scholar]
  70. Haenzsch, S.; Henker, S.; Schüffny, R. Modelling of Capacitor Mismatch and Non-Linearity Effects in Charge Redistribution SAR ADCs. In Proceedings of the 17th International Conference Mixed Design of Integrated Circuits and Systems (MIXDES), Wroclaw, Poland, 24–26 June 2010; pp. 300–305. [Google Scholar]
  71. Tripathi, V.; Murmann, B. Mismatch Characterization of Small Metal Fringe Capacitors. IEEE Trans. Circuits Syst. I Regul. Pap. 2014, 61, 2236–2242. [Google Scholar] [CrossRef]
  72. Li, Y.; Zhang, Z.; Chua, D.; Lian, Y. Placement for Binary-Weighted Capacitive Array in SAR ADC Using Multiple Weighting Methods. IEEE Tran. Comput.-Aided Des. Integr. Circuits Syst. 2014, 33, 1277–1287. [Google Scholar]
  73. Jansen, D.; Fawaz, N.; Bau, D.; Durrenberger, M. A small high performance microprocessor core SIRIUS for embedded low power designs, demonstrated in a medical mass application of an electronic pill (EPILLE). In Proceedings of the International Federation for Information Processing (IFIP), Portland, OR, USA, 29–31 July 2007; pp. 363–372, ISBN 978-0-387-72257-3. [Google Scholar]
  74. Fawaz, N.; Jansen, D. A SoC Electronic Pill (ePille®) with 32bit SIRIUS Processor and Bidirectional Communication System used for Biomedical Telemetry Applications. In Proceedings of the Information and Communication technologies (ICTTA), Damascus, Syria, 7–11 April 2008; pp. 1–3, ISBN 978-1-4244-1751-3. [Google Scholar]
  75. Roth, M. Redesign und Optimierung Eines 16/32-Bit Mikroprozessorkerns “SIRIUS Janua 2.0” in Formal Abstraker Codierung Sowie Verifikation in Einem Altera Cyclone FPGA. Master’s Thesis, University of Applied Sciences, Offenburg, Germany, 2012. [Google Scholar]
  76. Hwang, Y.S.; Lin, H.C. A New CMOS Analog Front End for RFID Tags. IEEE Trans. Ind. Electron. 2009, 56, 2299–2307. [Google Scholar] [CrossRef]
  77. Cho, J.H.; Kim, J.; Kim, J.W.; Lee, K.; Ahn, K.D.; Kim, S. An NFC transceiver with RF-powered RFID transponder mode. In Proceedings of the IEEE Asian Solid-State Circuits Conference, Jeju City, Korea, 12–14 November 2007; pp. 172–175. [Google Scholar]
Figure 1. PAD implant realized using the proposed SoC.
Figure 1. PAD implant realized using the proposed SoC.
Jlpea 08 00003 g001
Figure 2. System overview of a passive tag developed using GAPSIC-SoC along with some external components.
Figure 2. System overview of a passive tag developed using GAPSIC-SoC along with some external components.
Jlpea 08 00003 g002
Figure 3. Simplified system architecture of the proposed SoC-GAPSIC.
Figure 3. Simplified system architecture of the proposed SoC-GAPSIC.
Jlpea 08 00003 g003
Figure 4. System level architecture for RFID/NFC communication and power management unit.
Figure 4. System level architecture for RFID/NFC communication and power management unit.
Jlpea 08 00003 g004
Figure 5. Circuit schematic of the NMOS cross coupled rectifier.
Figure 5. Circuit schematic of the NMOS cross coupled rectifier.
Jlpea 08 00003 g005
Figure 6. Low dropout regulator 1 (LDO1).
Figure 6. Low dropout regulator 1 (LDO1).
Jlpea 08 00003 g006
Figure 7. Loop gain simulation. GM = Gain Margin; PM = Phase Margin.
Figure 7. Loop gain simulation. GM = Gain Margin; PM = Phase Margin.
Jlpea 08 00003 g007
Figure 8. System level architecture for sensor readout unit.
Figure 8. System level architecture for sensor readout unit.
Jlpea 08 00003 g008
Figure 9. Input buffer amplifier used to generate virtual ground potential VGND.
Figure 9. Input buffer amplifier used to generate virtual ground potential VGND.
Jlpea 08 00003 g009
Figure 10. Programmable gain amplifier configuration used where R 1 = R 2 = R 3 = R = 120 k Ω .
Figure 10. Programmable gain amplifier configuration used where R 1 = R 2 = R 3 = R = 120 k Ω .
Jlpea 08 00003 g010
Figure 11. (a) Input buffer stage (A1 and A2) operational amplifier for the IA; (b) Difference amplifier used for the IA.
Figure 11. (a) Input buffer stage (A1 and A2) operational amplifier for the IA; (b) Difference amplifier used for the IA.
Jlpea 08 00003 g011
Figure 12. (a) Simulated CMR (Common mode rejection) for the PGA; (b) Simulated input referred noise of the PGA for different input source resistances.
Figure 12. (a) Simulated CMR (Common mode rejection) for the PGA; (b) Simulated input referred noise of the PGA for different input source resistances.
Jlpea 08 00003 g012
Figure 13. Schematic diagram of the 12-bit SAR ADC.
Figure 13. Schematic diagram of the 12-bit SAR ADC.
Jlpea 08 00003 g013
Figure 14. (a) Schematic of the open loop comparator used for the ADC where LS indicates level shifter; (b) Simulated input referred noise of the ADC comparator for a source resistance of 100 k Ω and input capacitance of 20 p F .
Figure 14. (a) Schematic of the open loop comparator used for the ADC where LS indicates level shifter; (b) Simulated input referred noise of the ADC comparator for a source resistance of 100 k Ω and input capacitance of 20 p F .
Jlpea 08 00003 g014
Figure 15. Partial centroid layout of the ADC capacitive array.
Figure 15. Partial centroid layout of the ADC capacitive array.
Jlpea 08 00003 g015
Figure 16. Power consumption distribution of the analog block.
Figure 16. Power consumption distribution of the analog block.
Jlpea 08 00003 g016
Figure 17. System level block diagram of the digital block for GAPSIC.
Figure 17. System level block diagram of the digital block for GAPSIC.
Jlpea 08 00003 g017
Figure 18. Brief overview of the timing sequence and hence the duty cycle for different events involved in a measurement process, considering only single ADC conversion mode is activated. Note: Config.—Configuration; comm.—communication; meas.—measurement.
Figure 18. Brief overview of the timing sequence and hence the duty cycle for different events involved in a measurement process, considering only single ADC conversion mode is activated. Note: Config.—Configuration; comm.—communication; meas.—measurement.
Jlpea 08 00003 g018
Figure 19. Computer aided design (CAD) view of the complete layout of the SoC.
Figure 19. Computer aided design (CAD) view of the complete layout of the SoC.
Jlpea 08 00003 g019
Figure 20. Left hand side: RFID/NFC communication and sensor readout board is shown. Right hand side: The SIRIUS evaluation board together with the interface board.
Figure 20. Left hand side: RFID/NFC communication and sensor readout board is shown. Right hand side: The SIRIUS evaluation board together with the interface board.
Jlpea 08 00003 g020
Table 1. State-of-the-art SoCs for biomedical applications.
Table 1. State-of-the-art SoCs for biomedical applications.
Year
[Ref]
CMOS
(µm)
Wireless
comm.
Power
Source
PMUSensor
readout
Integ.
ADC
Re-config.
/Prog
Integ.
µC
Internal
memory
Application
2017 [14]0.13BluetoothBatteryYesTIA, INA, CA14-bit SAR ADCYesNoNoPPG and ECG meas.
2016 [10]0.6 13.56 MHz NFC, ISO 15693Battery-lessYesMUX, TIA11-bit sigma-deltaYesNoYesGlucose meas.
2015 [8]0.13 13.56 MHz NFC, ISO 15693Battery-lessYesPstat, MUX10-bit sigma-deltaN.ANoNoCont. glucose monitoring
2015 [15]0.18N.ABatteryNoCA, PGA, INA9-bit SAR ADCYesNoNoCochlear implant
2014 [12]0.35 403 MHz OOKRF-DC energy harvesterYesMUX, TIA, VA, PGA10-bit SAR ADCYesNoNo Glucose, temp., pH and protein conc. meas.
2013 [16]0.13MICS band, OOK receive/FSK transmitN.ANoPA, PGA8-bit SAR ADCYes16-bit RISCYesWireless ECG meas.
2013 [13]0.13400/433 MHz Freq-multiplying transmitterRF/Th. energy harvesterYesLNA, VA, MUX8-bit SAR ADCYes8-bitYesECG, EMG and EEG meas.
2012 [11]0.18 13.56 MHz carrier freq.Ind. powerYesIntegrator, SC10 bit SAR ADCNoNoNoBlood flow monitoring
Abbreviations: µC—microcontroller; PMU—Power management unit; SAR—Successive approximation register; ADC—Analog to digital converter; ECG—Electrocardiogram; EEG—Electroencephalogram; EMG—Electromyogram; MICS—Medical implant communication service; FSK—Frequency shift keying; OOK—On-off keying; RF—Radio frequency; PGA—Programmable gain amplifier; TIA—Trans impedance amplifier; INA—Instrumentation amplifier; CA—Capacitive amplifier; VA—Voltage amplifier; LNA—Low noise amplifier; SC—Switch capacitor; Res—Resistive; temp.—temperature; Freq—frequency; Integ.—Integrated; Pstat—Potentiostat; PA—Pre-amplifier; Th.—Thermal; Ind.—Inductive; Meas.—measurement; Conc.—Concentration; N.A—Not available; Re-config.—Reconfigurable; Prog—Programmable; RISC—Reduced instruction set computer.
Table 2. Tissue dielectric parameters for 13.56 MHz [22].
Table 2. Tissue dielectric parameters for 13.56 MHz [22].
Body Tissue ε r F / m σ S / m λ m m
Blood210.671.121.52
Fat11.830.036.43
Skin (dry)285.250.231.31
Skin (wet)177.130.381.66
Avg. Muscle132.070.651.92
Avg. Brain208.230.251.53
Avg. Skull44.930.093.3
Table 3. Loop gain parameters for different load conditions for V P R = 1.45 V and at nominal temperature condition of 27 °C.
Table 3. Loop gain parameters for different load conditions for V P R = 1.45 V and at nominal temperature condition of 27 °C.
I L (µA)GM (dB)PM (degree)UGF (kHz)
17185723.1
45031.44646
55033.14745
85037.24942
100038.85040
Note: I L is load current; GM is gain margin; PM is phase margin; UGF is unity gain frequency.
Table 4. Important parameters of the comparator as obtained from the simulation.
Table 4. Important parameters of the comparator as obtained from the simulation.
ParametersValue
Input offset voltage 8 µ V at nominal condition
Bandwidth 132 kHz
Gain margin 121.9 d B at 132 kHz
Slew rate 3.6 V / µ s @ 27 °C
Propagation delay 20 n s
Input noise voltage 120 n V / H z at 100 kHz
CMR 101.1 d B
Power consumption 252 n W
Layout area 0.0064 m m 2
Table 5. Design detail of the digital layout.
Table 5. Design detail of the digital layout.
ParametersValues
Total load capacitance of the clock tree 50 f F
Rise/fall delay 2 p s
Total standard cell area 0.516 m m 2
Total I/O pad cell area 0.126 m m 2
Total number of instances35,573
Total number of nets17,194
Total number of standard cells35,209
Total I/O pad cell number541
Table 6. SoC—Key design parameters.
Table 6. SoC—Key design parameters.
ParametersValues
Energy sourceInductive coupling, Passive
Carrier frequency 13.56 MHz
Communication protocolISO 15693, NFC 5
Modulation type and indexASK 10% NRZ
Data rate (max) 26.48 kbps
Supply (analog) 1.2 V
Supply (digital) 1.4 V to 1.8 V
Analog power (min) 51 µW
Analog power (max) 594 µW
Integrated microcontroller32-bit, RISC
0.309 Core Mark / MHz
ADC12-bit SAR ADC
Operating temperature−30 °C to 85 °C
TechnologyCMOS 0.18 µm
Die Area 4.92 m m 2 including pads
Type of external sensorsTemperature, ECG electrodes, Pressure sensor
Table 7. Comparison with related works.
Table 7. Comparison with related works.
ParametersThis work *[10][12][13]
CMOS Tech. 0.18 µm 0.6 µm 0.35 µm 0.13 µm
Energy sourcePassivePassiveSolar/RF poweredTEG/RF power
Communication 13.56 MHz 13.56 MHz OOK/403 MHz402/403 MHz
ProtocolISO 15693, NFC 5ISO 15693
Supply (analog) 1.2 V NA 1.8 V 30 m V
Supply (digital) 1.4 V to 1.8 V 2.85 V NANA
Integ. µC32-bit RISCNoNo8-bit RISC
ADC12-bit SAR ADC11-bit sigma delta ADC10-bit SAR ADC8-bit SAR ADC
Power (min) 49 µW(analog)NA 226.3 µWNA
Power (max) 592 µW(analog) 250 µW 942.9 µW 19 µW
Die Area 4.92 m m 2 9.98 m m 2 11.25 m m 2 25 m m 2
including pads including pads
ApplicationTemperature, pressure and ECG measurementGlucose sensor measurementTemperature, glucose, protein concentration and pH valueECG/EMG/EEG measurement
Note: NA indicates not Available; µC is microcontroller; * indicates simulation value; TEG—Thermoelectric Generator; RF—Radio Frequency.

Share and Cite

MDPI and ACS Style

Bhattacharyya, M.; Gruenwald, W.; Jansen, D.; Reindl, L.; Aghassi-Hagmann, J. Design of a Programmable Passive SoC for Biomedical Applications Using RFID ISO 15693/NFC5 Interface. J. Low Power Electron. Appl. 2018, 8, 3. https://0-doi-org.brum.beds.ac.uk/10.3390/jlpea8010003

AMA Style

Bhattacharyya M, Gruenwald W, Jansen D, Reindl L, Aghassi-Hagmann J. Design of a Programmable Passive SoC for Biomedical Applications Using RFID ISO 15693/NFC5 Interface. Journal of Low Power Electronics and Applications. 2018; 8(1):3. https://0-doi-org.brum.beds.ac.uk/10.3390/jlpea8010003

Chicago/Turabian Style

Bhattacharyya, Mayukh, Waldemar Gruenwald, Dirk Jansen, Leonhard Reindl, and Jasmin Aghassi-Hagmann. 2018. "Design of a Programmable Passive SoC for Biomedical Applications Using RFID ISO 15693/NFC5 Interface" Journal of Low Power Electronics and Applications 8, no. 1: 3. https://0-doi-org.brum.beds.ac.uk/10.3390/jlpea8010003

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop